diff --git a/src/vkvm.cpp b/src/vkvm.cpp index 5c03457..23066b3 100644 --- a/src/vkvm.cpp +++ b/src/vkvm.cpp @@ -187,6 +187,8 @@ namespace vkvm { } auto reset() -> void { + impl.sharedMemory = nullptr; + impl.localSharedMemory.clear(); setDefaultValues(); }